Quiz9

.pdf
School
École Polytechnique de Montréal**We aren't endorsed by this school
Course
INF 3500
Subject
Arts & Humanities
Date
Dec 22, 2024
Pages
6
Uploaded by ColonelMaskVulture44
Commencé lejeudi 9 novembre 2023, 12:10ÉtatTerminéTerminé lejeudi 9 novembre 2023, 13:04Temps mis54 min 51 sNote0,92sur 1,00 (92,33%)Question 1TerminéNon notéDirectives pour compléter le devoirLe devoir peut être complété seul ou en groupe, et la situation doit être déclarée explicitement ci-dessous. Chaque personne doit remettre sonpropre devoir.Le devoir doit être complété et soumis avant le début du cours correspondant. Toute tentative en cours sera soumise automatiquement à lafermeture du test. Le devoir ne peut être soumis qu’une seule fois (les tentatives multiples ne sont pas autorisées).Les résultats et réponses sont disponibles dès la fermeture du test, soit au début du cours correspondant.Déclaration sur l'honneurIndiquez clairement votre situation concernant ce devoir :Veuillez choisir une réponse.Sur mon honneur, j'ai fait ce devoir tout/e seul/e.Sur mon honneur, j'ai fait ce devoir avec un/e ou plusieurs collègues, en consultant des documents en ligne ou d'autres sources, maisje soumets des réponses individuelles qui reflètent ma compréhension personnelle de la question.OK bienreçu. Pas de réponse correcte ni incorrecte.Pas de réponse correcte ni incorrecte.Les réponses correctes sont : Sur mon honneur, j'ai fait ce devoir tout/e seul/e., Sur mon honneur, j'ai fait ce devoir avec un/e ou plusieurs collègues, enconsultant des documents en ligne ou d'autres sources, mais je soumets des réponses individuelles qui reflètent ma compréhension personnelle de laquestion.Devoir #9 – Processeur à usage général : relecture de tentative | Moodlehttps://moodle.polymtl.ca/mod/quiz/review.php?attempt=1669097&cm...1 of 618/12/2023, 19:29
Background image
Question 2Partiellement correctNote de 0,39 sur 0,40Considérez le diagramme suivant du processeur PolyRISC.Le port d’entrée GPIO_in permet de lire une donnée de l’extérieur dans le bloc des registres.Le port de sortie GPIO_out permet d’écrire à l’extérieur le contenu d’un registre spécial dans lequel on peut copier une des valeurs entreposées dans lebloc des registres.Complétez le tableau suivant des signaux de contrôle du chemin des données pour chaque opération.Utilisez le symbole '-' pour les signaux de contrôle dont la valeur est sans importance ("don't care").Si une opération est impossible à réaliser avec ce chemin des données, placez des 'X' dans les cases de la ligne correspondante.Opération chargeBR choixCharge choixAchoixBvaleurchoixB_UAL op_UAL Charge_MD choixDonnee_BRcharge(GPIO_out)R13 :=GPIO_in113-----020R10 :=R5 OUR011050-05000M[14 +R4] :=R130-4131412110Devoir #9 – Processeur à usage général : relecture de tentative | Moodlehttps://moodle.polymtl.ca/mod/quiz/review.php?attempt=1669097&cm...2 of 618/12/2023, 19:29
Background image
Question 3Partiellement correctNote de 0,38 sur 0,40Opération chargeBR choixCharge choixAchoixBvaleurchoixB_UAL op_UAL Charge_MD choixDonnee_BRcharge(GPIO_out)GPIO_out:= R6 ;0--6---0-1MD[R0 +R2] := R4XXXXXXXXXXR4 :=MD[R0 +R2]1402-02010Complétez le tableau suivant pour l’encodage des instructions du processeur PolyRISC.Utilisez le symbole '-' pour les champs dont la valeur est sans importance ("don't care").Instructioncatégoriebits 31:30détailsbits 29:26bits 25:21bits 20:16bits 15:0R8 := R40084-Si R8 = R9, goto PC + 25208925MD[R4 + 28] := R103110428R14 := MD[R7 + 94]3014794R27 := R19 OUX R1507271915R9 := R7 OU 13159713si R19 < R11, goto PC + 623221119623MD[R17 + 326] := R12312217326Devoir #9 – Processeur à usage général : relecture de tentative | Moodlehttps://moodle.polymtl.ca/mod/quiz/review.php?attempt=1669097&cm...3 of 618/12/2023, 19:29
Background image
Question 4Partiellement correctNote de 0,15 sur 0,20Considérez l'Unité Arithmétique et Logique suivante :Complétez le code VHDL correspondant à cette unité.Devoir #9 – Processeur à usage général : relecture de tentative | Moodlehttps://moodle.polymtl.ca/mod/quiz/review.php?attempt=1669097&cm...4 of 618/12/2023, 19:29
Background image
library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;entity ALU isgeneric (Wi : positive := 4 ; -- largeur des instructionsWd : positive := 16); -- largeur du chemin des données en bitsport(op_UAL : in unsigned (Wi-1 downto 0);valeur : in signed (Wd-1 downto 0);choixB_UAL : in std_logic ;A, B : in signed (Wd-1 downto 0);F : out signed (Wd-1 downto 0);Z, N : out std_logic);end ALU;architecture d of ALU is-- encodage des opérationsconstant passeA : natural := 0; -- A constant passeB : natural := 1; -- B_UALconstant AplusB : natural := 2; -- A + B_UALconstant AmoinsB : natural := 3; -- A - B_UALconstant AetB : natural := 4; -- A ET B_UALconstant AouB : natural := 5; -- A OU B_UALconstant nonA : natural := 6; -- A' constant AouxB : natural := 7; -- A XOR B_UALconstant absA: natural := 8; -- valeur absolue Aconstant minAB: natural := 9; -- minimum (A,B_UAL)constant maxAB: natural := 10; -- maximum (A,B_UAL)beginprocess (all)variable B_UAL, F_UAL : signed (Wd - 1 downto 0);begin-- Multiplexeur pour l'entrée B_UALif choixB_UAL = '0' thenB_UAL := B;elseB_UAL := valeur;end if;-- Modélisation des opérations de l'UALcase to_integer(op_UAL) iswhen passeA => F_UAL := A;when passeB => F_UAL := B;when AplusB => F_UAL := A + B_UAL;when AmoinsB => F_UAL := A - B_UAL;when AetB => F_UAL := A and B_UAL;when AouB => F_UAL := A or B_UAL;when nonA => F_UAL := not(A);when AouxB => F_UAL := A xorB_UAL;when absA => F_UAL := abs(A);when minAB => F_UAL := min(A, B_UAL);when maxAB => F_UAL := max(A, B_UAL);when others=> F_UAL := (others=>'X');end case;-- Drapeaux pour l'unité de branchementif F_UAL = XthenZ <= '1';elseDevoir #9 – Processeur à usage général : relecture de tentative | Moodlehttps://moodle.polymtl.ca/mod/quiz/review.php?attempt=1669097&cm...5 of 618/12/2023, 19:29
Background image
Z <= '0';end if;N <= F_UAL(F_UAL'high);-- sortie de l'UALF <= F_UAL;end process; end d ;Devoir #9 – Processeur à usage général : relecture de tentative | Moodlehttps://moodle.polymtl.ca/mod/quiz/review.php?attempt=1669097&cm...6 of 618/12/2023, 19:29
Background image